From 32773a08eb11d286682ca8cad41171ce804f1631 Mon Sep 17 00:00:00 2001 From: erdgeist Date: Wed, 31 May 2023 15:39:59 +0200 Subject: Works --- Makefile | 12 ++++++++++++ 1 file changed, 12 insertions(+) create mode 100644 Makefile (limited to 'Makefile') diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..6ec9f52 --- /dev/null +++ b/Makefile @@ -0,0 +1,12 @@ +all: main + +SDL_LIBRARIES=/usr/local/lib/libSDL2.a /usr/local/lib/libSDL2main.a /usr/local/lib/libSDL2_gfx.a +SDL_DEPEND_FRAMEWORKS=-framework Carbon -framework Cocoa -framework CoreAudio -framework AudioToolbox -framework CoreVideo -framework ForceFeedback -framework IOKit -framework CoreHaptics -framework GameController -framework Metal +SDL_DEPEND_LIBRARIES=/usr/local/lib/libpng.a /usr/local/lib/libfreetype.a -lbz2 -liconv -lz + +main: main.c + cc -g -O3 -o CCCBDisplay main.c -I /usr/local/include -lm $(SDL_LIBRARIES) $(SDL_DEPEND_FRAMEWORKS) $(SDL_DEPEND_LIBRARIES) + +.PHONY: clean +clean: + rm -f CCCBDisplay -- cgit v1.2.3